尚笠

Li Shang
Ph.D, Professor
School of Computer Science
Fudan University
lishang@fudan.edu.cn

复旦大学教授、博士生导师、上海市特聘专家。美国普林斯顿大学博士。曾任英特尔中国研究院副院长、首席架构师,美国科罗拉多大学博尔德分校(终身教职)。尚笠教授现在的研究专注于以人为中心的通用人工智能(Human-Centered AGI)算法与系统, 例如Wearable-Interactive AGI, AI Computing Systems。他在人机交互、机器学习、计算机系统、EDA等领域发表论文150余篇,多次荣获最佳论文奖与提名,被引逾8000次。曾获美国NSF CAREER Award资助。他在产业界有着丰富的经验,成功创立了一家智能可穿戴设备企业与一家数字孪生服务型企业,产品行销世界40多个国家和地区。

Dr. Li Shang is a Professor at the School of Computer Science, Fudan University. He received his Ph.D. degree from Princeton University. He was the Deputy Director and Chief Architect of Intel Labs China, and an Associate Professor of University Colorado Boulder (tenured). His current research focuses on human-centered AGI algorithms and systems, such as wearable-interactive AGI and AI computing systems. He has over 150 publications in human-centered computing, machine learning, computer systems, and electronic design automation, with multiple best paper awards and nominations, and over 8000 citations. He was a recipient of the NSF Career Award. He successfully co-founded one wearable technology startup and a predictive maintenance service company.
RESEARCH INTERESTS
Human-Centered AGI algorithms and Systems: Wearable AGI, Interactive AGI, AI Computing Systems, Machine Learning, VLSI & EDA.
EDUCATION BACKGROUND
PUBLICATIONS
  1. Zhenyu Xu, Hailin Xu, Zhouyang Lu, Yingying Zhao, Rui Zhu, Yujiang Wang, Mingzhi Dong, Yuhu Chang, Qin Lv, Robert P. Dick, Fan Yang, Tun Lu, Ning Gu, and Li Shang, “Can Large Language Models Be Good Companions? An LLM-Based Eyewear System with Conversational Common Ground,” ACM Interactive Mobile Wearable Ubiquitous Technologies (IMWUT), Vol. 8, No. 2, pp. 1-41, June 2024. (Accepted)
  2. Yubin Shi, Yixuan Chen, Mingzhi Dong, Xiaochen Yang, Dongsheng Li, Yujiang Wang, Robert Dick, Qin Lv, Yingying Zhao, Fan Yang, Tun Lu, Ning Gu, and Li Shang, “Train Faster, Perform Better: Modular Adaptive Training in Over-Parameterized Models,” 37th Conference on Neural Information Processing Systems (NeurIPS), December 2023. (Accepted)
  3. Xiangyao Qi, Qi Lu, Wentao Pan, Yingying Zhao, Rui Zhu, Mingzhi Dong, Yuhu Chang, Qin Lv, Robert P. Dick, Fan Yang, Tun Lu, Ning Gu, and Li Shang, “CASES: A Cognition-Aware Smart Eyewear System for Understanding How People Read,” ACM Interactive Mobile Wearable Ubiquitous Technologies (IMWUT), Vol. 7, No. 3, pp. 1-31, September 2023.
  4. Jiahao Liu, Dongsheng Li, Hansu Gu, Tun Lu, Peng Zhang, Li Shang and Ning Gu, “Triple Structural Information Modelling for Accurate, Explainable and Interactive Recommendation,” in Proceedings of the 46th ACM SIGIR Conference (SIGIR), 2023.
  5. Yixuan Chen, Yubin Shi, Mingzhi Dong, Xiaochen Yang, Dongsheng Li, Yujiang Wang, Robert Dick, Qin Lv, Yingying Zhao, Fan Yang, Ning Gu, and Li Shang, “Over-parameterized model optimization with Polyak-Łojasiewicz condition,” in Proceedings of the 11th International Conference on Learning Representations (ICLR), May 2023.
  6. Jiahao Liu, Dongsheng Li, Hansu Gu, Tun Lu, Peng Zhang, Li Shang, and Ning Gu, “Personalized graph signal processing for collaborative filtering, ” in Proceedings of the 32th International World Wide Web Conference (WWW), 2023.
  7. Yingying Zhao, Ning Li, Wentao Pan, Yujiang Wang, Mingzhi Dong, Xianghua Ding, Qin Lv, Robert P. Dick, Dongsheng Li, Fan Yang, Tun Lu, Ning Gu, and Li Shang, “Unveiling causal attention in dogs' eyes with smart eyewear,” ACM Interactive Mobile Wearable & Ubiquitous Technologies (IMWUT), Vol. 6, No. 4, pp. 1-33, December 2022.
  8. Yiting Liu, Ziyi Ju, Zhengming Li, Mingzhi Dong, Hai Zhou, Jia Wang, Fan Yang, Xuan Zeng, and Li Shang, “GraphPlanner: Floorplanning with graph neural network,” ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 28, No. 2, pp. 1-24, July 2022.
  9. Yutian Lu, Bo Wang, Yingying Zhao, Xiaochen Yang, Lizhe Li, Mingzhi Dong, Qin Lv, Fujian Zhou, Ning Gu, and Li Shang, “Physics-informed surrogate modeling for hydro-fracture geometry prediction based on deep learning,” Energy, Vol. 253, pp. 124-139, August 2022.
  10. Yiting Liu, Ziyi Ju, Zhengming Li, Mingzhi Dong, Hai Zhou, Jia Wang, Fan Yang, Xuan Zeng, and Li Shang, “Floorplanning with graph attention,” in Proceedings of the 59th IEEE Design Automation Conference (DAC), pp. 1303-1308, July 2022.
  11. Yixuan Chen, Yubin Shi, Dongsheng Li, Yujiang Wang, Mingzhi Dong, Yingying Zhao, Robert Dick, Qin Lv, Fan Yang, and Li Shang, “Recursive disentanglement network,” in Proceedings of the 10th International Conference on Learning Representations (ICLR), April 2022.
  12. Yixuan Chen, Dongsheng Li, Peng Zhang, Jie Sui, Qin Lv, Tun Lu, and Li Shang, “Cross-modal ambiguity learning for multimodal fake news detection,” in Proceedings of the 31th International World Wide Web Conference (WWW), pp. 2897-2905, April 2022.
  13. Yingying Zhao, Yuhu Chang, Yutian Lu, Mingzhi Dong, Yujiang Wang, Qin Lv, Robert P. Dick, Fan Yang, Tun Lu, Ning Gu, and Li Shang, “Do Smart Glasses Dream of Sentimental Visions? Deep Emotionship Analysis for Eyewear Devices,” ACM Interactive Mobile Wearable & Ubiquitous Technologies (IMWUT), Vol. 6, No. 1, pp. 1-29, March 2022.
  14. Jialin Lu, Liangbo Lei, Fan Yang, Li Shang, and Xuan Zeng, “Topology optimization of operational amplifier in continuous space via graph embedding,” in Proceedings of the 25th Design, Automation and Test in Europe Conference (DATE), Antwerp, Belgium. pp. 142-147, March 2022.
  15. Yuhu Chang, Yingying Zhao, Mingzhi Dong, Yujiang Wang, Yutian Lu, Qin Lv, Robert P. Dick, Tun Lu, Ning Gu, and Li Shang, “MemX: An attention-aware smart eyewear system for personalized moment auto-capture,” ACM Interactive Mobile Wearable & Ubiquitous Technologies (IMWUT), Vol. 5, No. 2, pp. 1-23, June 2021.
  16. Yingying Zhao, Mingzhi Dong, Yujiang Wang, Da Feng, Qin Lv, Robert P. Dick, Dongsheng Li, Tun Lu, Ning Gu, and Li Shang, “A reinforcement-learning-based energy-efficient framework for multi-task video analytics pipeline,” IEEE Transactions on Multimedia (TMM), Vol. 24, pp. 2150-2163, April 2021.
  17. Yingying Zhao, Dongsheng Li, Tun Lu, Qin Lv, Ning Gu, and Li Shang, “Collaborative fault detection for large-scale photovoltaic systems,” IEEE Transactions on Sustainable Energy (TSNE), Vol. 11, No. 4, pp. 2745-2754, October 2020.
  18. Robert P. Dick, Li Shang, Marilyn Wolf, and Shao-Wen Yang, “Embedded intelligence in the Internet-of-things,” IEEE Design & Test (IEEE DES TEST), Vol. 37, No. 1, pp. 7-27, 2020.
  19. Yingying Zhao, Qi Liu, Dongsheng Li, Dahai Kang, Qin Lv, and Li Shang, “Hierarchical anomaly detection and multimodal classification in large-scale photovoltaic systems,” IEEE Transactions on Sustainable Energy (TSNE), Vol. 10, No. 3, pp. 1351-1361, July 2019.
  20. Dongsheng Li, Chao Chen, Qin Lv, Hansu Gu, Tun Lu, Li Shang, Ning Gu, and Stephen M. Chu, “AdaError: An adaptive learning rate method for matrix approximation-based collaborative filtering,” in Proceedings of the 27th International World Wide Web Conference (WWW), pp.741-751, 2018.
  21. Yingying Zhao, Dongsheng Li, Qi Liu, Qin Lv, and Li Shang, “Deriving customer privacy from randomly perturbed smart metering data,” in Proceedings of the 16th IEEE International Conference on Industrial Informatics (INDIN), pp. 959-965, 2018.
  22. Dongsheng Li, Yingying Zhao, Yawen Zhang, Qin Lv, and Li Shang, “An algorithmic method for tampering-proof and privacy-preserving smart metering,” in Proceedings of the 16th IEEE International Conference on Industrial Informatics (INDIN), pp. 459-465, 2018.
  23. Yingying Zhao, Dongsheng Li, Ao Dong, Dahai Kang, Qin Lv, and Li Shang, “Fault prediction and diagnosis of wind turbine generator using SCADA data,” Energies (Energies), Vol. 10, No. 8, pp. 1210, 2017.
  24. Qi Liu, Rudy Klucik, Chao Chen, Glenn Grant, David Gallaher, Qin Lv, and Li Shang, “Unsupervised detection of contextual anomaly in remotely sensed data,” Remote Sensing of Environment (RSE), Vol. 202, pp. 75-87, 2017.
  25. Qi Liu, James Williamson, Li Kun, Wyatt Mohrman, Qin Lv, Robert P. Dick, and Li Shang, “Gazelle: Energy-efficient wearable analysis for running,” IEEE Transactions on Mobile Computing (TMC), Vol. 16, No. 9, pp. 2531-2544, 2017.
  26. Dongsheng Li, Qin Lv, Li Shang, and Ning Gu, “Efficient privacy-preserving content recommendation for online social communities,” Journal on Neurocomputing (NEUCOM), Vol. 219, pp. 440-454, Jan. 2017.
  27. Dongsheng Li, Yingying Zhao, Qi Liu, Dahai Kang, X. Liu, and Li Shang, “Fault Diagnosis and Classification in Photovoltaic Systems Using SCADA Data,” in Proceedings of the 2017 IEEE International Conference on Sensing, Diagnostics, Prognostics, and Control (SDPC), pp. 117-122, 2017.
  28. Qi Liu, Yingying Zhao, Yawen Zhang, Dahai Kang, Qin Lv, and Li Shang, “Hierarchical context-aware anomaly diagnosis in large-scale PV systems using SCADA data,” in Proceedings of the 15th IEEE International Conference on Industrial Informatics (INDIN), pp. 1025-1030, 2017.
  29. Qi Liu, Yawen Zhang, Qin Lv, and Li Shang, “Data quality screening for high-resolution satellite imagery via spectral clustering,” in Proceeding of the 2017 IEEE International Geoscience and Remote Sensing Symposium (GRSS), pp. 4742-4745, 2017.
  30. Chao Chen, Dongsheng Li, Qin Lv, Junchi Yan, Li Shang, and Stephen M. Chu, “GLOMA: Embedding Global Information in Local Matrix Approximation Models for Collaborative Filtering,” in Proceedings of the 31st AAAI Conference on Artificial Intelligence (AAAI), pp.1295-1301, 2017.
  31. Dongsheng Li, Chao Chen, Qin Lv, and Li Shang, “ERMMA: Expected Risk Minimization for Matrix Approximation-based Recommender Systems,” in Proceedings of the 31st International Conference on Artificial Intelligence (AAAI), pp. 1403-1409, 2017.
  32. Dongsheng Li, Yaoping Ruan, Qin Lv, and Li Shang, “SalesExplorer: Exploring sales opportunities from white-space customers in the enterprise market,” Knowledge-based systems (KBS), Vol. 113, pp. 51-60, 2016.
  33. Yingying Zhao, Dongsheng Li, Ao Dong, Jiajia Lin, Dahai Kang, and Li Shang, “Fault prognosis of wind turbine generator using SCADA data,” in Proceedings of the 2016 North American Power Symposium (NAPS), pp. 1-6, 2016.
  34. Chao Chen, Dongsheng Li, Qin Lv, Junchi Yan, Stephen M. Chu, and Li Shang, “MPMA: Mixture probabilistic matrix approximation for collaborative filtering,” in Proceedings of the 2016 International Joint Conference on Artificial Intelligence (IJCAI), pp. 1382-1388, 2016.
  35. Dongsheng Li, Chao Chen, Qin Lv, Junchi Yan, Li Shang, and Stephen M. Chu, “Low-rank matrix approximation with stability,” in Proceedings of the 2016 International Conference on Machine Learning (ICML), pp. 295-303, 2016.
  36. Chao Chen, Dongsheng Li, Qin Lv, Li Shang, and Yingying Zhao, “WEMAREC: Accurate and scalable recommendation through weighted and ensemble matrix approximation,” in Proceedings of the 38th ACM SIGIR Conference (SIGIR), pp.303-312, 2015.
  37. James Williamson, Qi Liu, Fenglong Lu, Wyatt Morhman, Li Kun, Robert Dick, and Li Shang, “Data sensing and analysis: Challenges for wearables,” in Proceedings of the 2015 IEEE Asia & Pacific Design Automation Conference (ASP-DAC), pp.136-141, 2015.
  38. Dongsheng Li, Chao Chen, Qin Lv, Li Shang, Yingying Zhao, Tun Lu, and Ning Gu, “An algorithm for efficient privacy-preserving item-based collaborative filtering,” Elsevier Future Generation Computer Systems (FC), Vol. 55, pp. 311-320, 2014.
  39. Xi Chen, Zheng Li, Moustafa Mohamed, Li Shang, and Alan R. Mickelson, “Parameter extraction from fabricated silicion photonic devices,” Applied Optics (AO), Vol. 53, No. 7 pp. 1396-1405, 2014.
  40. Moustafa Mohamed, Zheng Li, Xi Chen, Li Shang, and Alan R. Mickelson, “Reliability-aware design flow for silicon photonics on-chip interconnect,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol. 22, No. 8, pp. 1763-1776, August 2014.
  41. Dongsheng Li, Qin Lv, Li Shang, and Ning Gu, “Item-based top-N recommendation resilient to aggregated information revelation,” Knowledge-based systems (KBS), Vol. 67 pp. 290-304, 2014.
  42. Ricardo Piedrahita, Yun Xiang, Nicholas Masson, John. Ortega, A. Collier, Yifei Jiang, Li Kun, Robert P. Dick, Qin Lv, Michael Hannigan, and Li Shang, “The next generation of low-cost personal air quality sensors for quantitative exposure monitoring,” Atmospheric Measurement Techniques (AMT), Vol. 7, No. 10, pp. 3325-3336, 2014.
  43. Xi Chen, Moustafa Mohamed, Zheng Li, Li Shang, and Alan R. Mickelson, “Process variation in silicon photonic devices,” Applied Optics (AO), Vol.52, No. 31, pp. 7638-7647. 2013.
  44. Jiang, Li Kun, Ricardo Piedrahita, Xiang Yun, Lei Tian, Omkar M. Mansata, Qin Lv, Robert P. Dick, Michael Hannigan, and Li Shang, “User-centric indoor air quality monitoring on mobile devices,” AI Magazine (AI Magazine), Vol. 34, No. 2, pp 11-30, 2013.
  45. Yifei Jiang, Yun Xiang, Xin Pan, Kun Li, Qin Lv, Robert P. Dick, Li Shang, and Michael Hannigan, “Hallway based automatic indoor floorplan construction using room fingerprints,” in Proceedings of the 2013 ACM international joint conference on Pervasive and ubiquitous computing (UbiComp), pp. 315-324. 2013.
  46. Mingzhi Dong, Liang Yin, Weihong Deng, Li Shang, Jun Guo, and Honggang Zhang, “A maximum K-min approach for classification,” in Proceedings of the 27th International Conference on Artificial Intelligence (AAAI), July 2013.
  47. Li Kun, Changyun Zhu, Qin Lv, Li Shang, and Robert P. Dick, “Personalized multi-modality image management and search for mobile devices,” Journal of Personal and Ubiquitous Computing (JPUC), Vol. 17, No. 8, pp. 1817-1834, 2013.
  48. Jie Wu, Jia Wang, Li Kun, Hai Zhou, Qin Lv, Li Shang, and Yihe Sun, “Large-scale energy storage system design and optimization for emerging electric-drive vehicles,” IEEE Transactions on Computer-Aided Design (TCAD), Vol. 32, No. 3, pp. 325-338, 2013.
  49. Jie Wu, James Williamson, and Li Shang, “Energy Storage System Design for Green-Energy Cyber Physical Systems,” Sustainable and Green Computing, Springer New York, New York, pp.179-203, 2013.
  50. Ricardo Piedrahita, Yifei Jiang, X. Pan, Li Kun, X. Yun, Nicholas Masson, A. Collier, Michael Hannigan, Robert P. Dick, Qin Lv, Li Shang, “Validation of low-cost mobile air quality monitors and initial personal exposure results of carbon monoxide, total volatile organic compounds, and carbon dioxide,” in Proceedings of the 2013 Environmental Health (EH).
  51. Nicholas Masson, Ricardo Piedrahita, Xiang Yun, Michael Hannigan, Qin Lv, Robert P. Dick, and Li Shang, “Quantification methods for metal-oxide semiconductor gas sensors,” in Proceedings of the 31st American Association for Aerosol Research (AAAR), pp. 339-345, 2013.
  52. Hannigan, R. Piedrahita, N. Masson, J. Ortega, Yifei Jiang, Xiang Yun, Li Kun, Qin Lv, Robert P. Dick, and Li Shang, “Personal exposure results for the M-pod, a portable low-cost air quality monitor,” in Proceedings of the 31stAmerican Association for Aerosol Research (AAAR), 2013.
  53. Dongsheng Li, Fenglong Lu, Qin Lv, and Li Shang, “Lifetime cost optimized wind power control using hybrid energy storage system,” in Proceedings of the 2013 North American Power Symposium (NAPS), pp. 1-6, 2013.
  54. Xiang Yun, Ricardo Piedrahita, Robert P. Dick, Michael Hannigan, Qin Lv, and Li Shang, “A hybrid sensor system for indoor air quality monitoring,” in Proceedings of the 2013 IEEE International Conference on Distributed Computing in Sensor Systems (DCoSS), pp. 96-104, 2013.
  55. Dongsheng Li,Qin Lv, Xing Xie, Li Shang, Huanhuan Xia, Ning Gu, and Tun Lu, “Interest-based real-time content recommendation in online social communities,” Elsevier Knowledge-Based Systems (KnoSys), Vol. 28, pp.1-12, 2012.
  56. Zheng Li, Moustafa Mohamed, Xi Chen, Eric Dudley, Ke Meng, Li Shang, Alan R. Mickelson, Russ Joseph, Manish Vachharajani, Brian Schwartz, and Yihe Sun, “Reliability modeling and management of nanophotonic on-chip networks,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol. 20, No. 1, pp 98-111, 2012.
  57. Dong, Mingzhi, Liang Yin, Weihong Deng, Qiang Wang, Caixia Yuan, Jun Guo, Li Shang, and Liwei Ma, “A linear max k-min classifier,” in Proceedings of the 21stInternational Conference on Pattern Recognition (ICPR), pp. 2967-2971, 2012.
  58. Jiang, Yifei, Xin Pan, Li Kun, Qin Lv, Robert P. Dick, Michael Hannigan, and Li Shang, “Ariel: Automatic wi-fi based room fingerprinting for indoor localization,” in Proceedings of the 2012 ACM conference on ubiquitous computing (Ubiquitous), pp. 441-450, 2012.
  59. Fenglong Lu, Li Shang, Dragan Maksimovic, and Qin Lv, “A large-scale study of PHEV charging,” in Proceedings of the 2012 IEEE PES International Conference on Power Systems Technology (IEEE), Auckland, New Zealand, pp, 1-6, 2012.
  60. Li, Kun, Man Lu, Fenglong Lu, Qin Lv, Li Shang, and Dragan Maksimovic, “Personalized driving behavior monitoring and analysis for emerging hybrid vehicles,” in Proceedings of the 2012 International Conference on Pervasive Computing (Pervasive), pp. 1-19, 2012.
  61. Xiang Yun, Lan S. Bai, Ricardo Pledrahita, Robert P. Dick, Qin Lv, Michael Hannigan, and Li Shang, “Collaborative calibration and sensor placement for mobile sensor networks,” in Proceedings of the 11th International Conference on Information Processing in Sensor Networks (IPSN), pp. 73-83, 2012.
  62. Zheng Li, Moustafa Mohamed, X. Chen, H. Zhou, Alan R. Mickelson, Li Shang, and M. Vachharajani, “Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication,” ACM Journal on Emerging Technologies in Computing Systems (JETC), Vol. 7, No. 2, pp. 1-22, 2011.
  63. Jie Wu, Li Kun, Yifei Jiang, Qin Lv, Li Shang, and Yihe Sun, “Large-scale battery system development and user-specific driving behavior analysis for emerging electric-drive vehicles,” Energies, Special issue on Hybrid Vehicles, Energies (Energies), Vol. 4, No. 5, pp. 758-779, 2011.
  64. Hassan, N. Allec, F. Yang, Li Shang, Robert P. Dick, and X. Zeng, “Full-spectrum spatial-temporal dynamic thermal analysis for nanometer-scale integrated circuits,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol. 19, No. 12, pp.2276-2289, 2011.
  65. A. Williamson, G. L. Phillis, M. E. Draugelis, and Li Shang, “A systems analysis of wearable EEG as part of a biomedical sensor suite,” in Proceedings of MSS Battlespace Acoustic and Seismic Sensing, 2011.
  66. Li Dongsheng, Qin Lv, Li Shang, and Gu Ning, “YANA: an efficient privacy-preserving recommender system for online social communities,” in Proceedings of the 20th ACM international conference on Information and knowledge management (CIKM), pp. 2269-2272, 2011.
  67. Moustafa Mohamed, Zheng Li, Xi Chen, Alan Mickelson, and Li Shang, “Modeling and analysis of micro-ring based silicon photonic interconnect for embedded systems,” in Proceedings of the 7th IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis (CODES-ISSS), pp. 227-236, 2011.
  68. Jiang Yifei,Li Kun, Lei Tian, Ricardo Piedrahita, Xiang Yun, Omkar Mansata, Qin Lv, Robert P. Dick, Michael Hannigan, and Li Shang, “MAQS: A personalized mobile sensing system for indoor air quality monitoring,” in Proceedings of the 13th International Conference on Ubiquitous Computing (UbiComp), pp.271-280, 2011.
  69. Zheng Li, Moustafa Mohamed, Xi Chen, Li Shang, and Alan Mickelson, “Modeling, simulating and calibrating silicon micro ring resonators,” in Proceedings of the 11th IEEE International Conference on Numerical Simulation of Optoelectronic Devices (NUSOD), 2011.
  70. Moustafa Mohamed, Zheng Li, Eric Dudley, Xi Chen, Li Shang, Won Park, and Alan Mickelson, “Adiabatic couplers for linear power division,” in Proceedings of the Integrated Photonics Research, Silicon and Nanophotonics (IPR), pp. IMD6, 2011.
  71. Chen Xi, David S. Espinoza, Eric F. Dudley, Zheng Li, Moustafa Mohamed, Yonghao Cui, Wounjhang Park, Li Shang, and Alan R. Mickelson, “Polymer-clad silicon on insulator slot modulator,” in Proceedings of the 2011 Integrated Photonics Research, Silicon and Nanophotonics (IPR), pp. IMB7, 2011.
  72. Gu Hansu, Xing Xie, Qin Lv, Yaoping Ruan, and Li Shang, “Etree: Effective and efficient event modeling for real-time online social media networks,” in Proceedings of the 2011 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology (WIC), Vol. 1, pp. 300-307, 2011.
  73. Li Dongsheng, Qin Lv, Huanhuan Xia, Li Shang, Tun Lu, and Ning Gu, “Pistis: A privacy-preserving content recommender system for online social communities,” in Proceedings of the 2011 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology (WIC), Vol. 1, pp. 79-86, 2011.
  74. Zheng Li, Moustafa Mohamed, Xi Chen, Alan Mickelson, and Li Shang, “Device modeling and system simulation of nanophotonic on-chip networks for reliability, power and performance,” in Proceedings of the 48th Design Automation Conference (DAC), pp. 735-740, 2011.
  75. Williamson, James, Yinghai Lu, Li Shang, Hai Zhou, and Xuan Zeng, “Parallel cross-layer optimization of high-level synthesis and physical design,” in Proceedings of the 16th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 467-472, 2011.
  76. Wei Zhang, James Williamson, and Li Shang, “Power dissipation,” Low-Power Variation-Tolerant Design in Nanometer Silicon, Springer US, Boston, MA, pp. 41-80, 2011.
  77. Hongyu Zhou, Zheng Li, Li Shang, Alan R. Mickelson, and Dejan S. Filipovic, “On-chip wireless optical broadcast interconnection network, “ IEEE Journal of Lightwave Technology (Optical), Vol. 28, No. 24, pp. 3569-3577, 2010.
  78. Zheng Li, Moustafa Mohamed, Hongyu Zhou, Li Shang, Alan R. Mickelson, Dejan Filipovic, Manish Vachharajani, Xi Chen, Wounjhang Park, and Yihe Sun, “Global on-chip coordination at light speed,” IEEE Design & Test of Computer, Special Issue on Emerging Interconnect Technologies for Gigascale Integration (DTC), Vol. 27, No. 4, pp. 54-67, 2010.
  79. Yinghai Lu, Hai Zhou, Li Shang, and Xuan Zeng, “Multicore parallelization of min-cost flow for CAD applications,” IEEE Transactions on Computer-Aided Design (TCAD), Vol. 29, No. 10, pp. 1546-1557, 2010.
  80. Xi Chen, Lei Yang, Robert P. Dick, Haris Lekatsas, and Li Shang, “C-Pack: A high-performance microprocessor cache compression algorithm, “IEEE Transactions on Very Large Scale Integration Systems (TVLSI), pp. 1196-1208, 2010.
  81. Nicholas Allec, Robert G. Nobel, and Li Shang, “An adaptive algorithm for single-electron device and circuit simulation,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol. 18, No. 8, pp. 1253-1257, 2010.
  82. Xiang Yun, Thidapat Chantem, Robert P. Dick, X. Sharon Hu, and Li Shang, “System-level reliability modeling for MPSoCs,” in Proceedings of the 8th IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis (CODES-ISSS), pp. 297-306, 2010.
  83. Li Kun, Jie Wu, Yifei Jiang, Zyad Hassan, Qin Lv, Li Shang, and Dragan Maksimovic, “Large-scale battery system modeling and analysis for emerging electric-drive vehicles,” in Proceedings of the 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), pp. 277-282, 2010. (Nominated for Best Paper Award)
  84. Moustafa Mohamed, Zheng Li, Xi Chen, Li Shang, Alan Rolf Mickelson, Manish Vachharajani, and Yihe Sun, “Power-efficient variation-aware photonic on-chip network management,” in Proceedings of the 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), pp. 31-36, 2010 (Nominated for Best Paper Award)
  85. Chen Xi, Moustafa Mohamed, Brian Schwartz, Zheng Li, Li Shang, and Alan Mickelson, “Racetrack filters for nanophotonic on-chip networks,” in Proceedings of the 2010 Integrated Photonics Research, Silicon and Nanophotonics (IPR), pp. ITuB5, 2010.
  86. Chen X. Z. Li, M. Mohamed, Li Shang, and A. Mickelson, “Matrix analysis of nanophotonic devices,” in Proceedings of the International Conference on Fiber Optics and Photonics (PHOTONICS), 2010.
  87. Bsoul, Assem AM, Naraig Manjikian, and Li Shang, “Reliability and process variation-aware placement for FPGAs,” in Proceedings of the 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1809-1814, 2010.
  88. Chen Xi, Robert P. Dick, and Li Shang, “Properties of and improvements to time-domain dynamic thermal analysis algorithms,” in Proceedings of the 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1165-1170, 2010. (Best Paper Award)
  89. Wang Jia, Li Kun, Qin Lv, Hai Zhou, and Li Shang, “Hybrid energy storage system integration for vehicles,” in Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design ACM/IEEE (Invited), pp. 369-374, 2010.
  90. Zhu Changyun, Zhenyu Peter Gu, Li Shang, Robert P. Dick, and Russ Joseph, “Run-time thermal management of three-dimensional chip-multiprocessor,” Workshop on quality-aware design, held in conjunction with ISCA-35 (Invited), June 2008.
  91. Dan Fay, Graham Schelle, Li Shang, and Dirk Grunwald, “Modeling fpga-based cyberphysical systems,” WARP-2008-The 3rd Workshop on Architectural Research Prototyping (WRAP).
  92. Graham Schelle, Dan Fay, Li Shang, and Dirk. Grunwald, “Exploring varying level of hardware reliability in processor architectures,” Workshop on Architectural Research Prototyping, held in conjunction with ISCA-35 (WRAP), June 2008.
  93. Zhang, Niraj K. Jha, and Li Shang, “Design space exploration and data memory architecture design for a hybrid nano/CMOS dynamically reconfigurable architecture,” ACM Journal on Emerging Technologies in Computing Systems (JETC), pp. 1-27, 2009.
  94. Wei Zhang, Niraj K. Jha, and Li Shang, “A hybrid Nano/CMOS dynamically reconfigurable system - part I: Architecture,” ACM Journal on Emerging Technologies in Computing Systems (JETC), pp. 1-31, 2009.
  95. Wei Zhang, Li Shang, and Niraj K. Jha, “A hybrid Nano/CMOS dynamically reconfigurable system - part II: Design optimization flow,” ACM Journal on Emerging Technologies in Computing Systems (JETC), pp. 1-31, 2009.
  96. Nicholas Allec, Zyad Hassan, Li Shang,Robert P. Dick, and Ronggui Yang, “ThermalScope: Multi-scale thermal analysis for nanometer-scale integrated circuits,” IEEE Transactions on Computer-Aided Design (TCAD), pp. 603-610, 2009.
  97. Fay Dan, Li Shang, and Dirk Grunwald, “A platform for developing adaptable multicore applications,” in Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems (CASES), pp. 157-166, 2009.
  98. Zheng Li, Dan Fay, Alan Mickelson, Li Shang, Manish Vachharajani, Dejan Filipovic, Wounjhang Park, and Yihe Sun, “Spectrum: a hybrid nanophotonic-electric on-chip network,” in Proceedings of the 46thAnnual Design Automation Conference (DAC), pp. 575-580, 2009.
  99. Yinghai Lu, Li Shang, Hai Zhou, Hengliang Zhu, Fan Yang, and Xuan Zeng, “Statistical reliability analysis under process variation and aging effects,” in 2009 46thACM/IEEE Design Automation Conference (DAC), pp. 514-519, 2009.
  100. Yinghai Lu, Hai Zhou, Li Shang, and Xuan Zeng, “Multicore parallel min-cost flow algorithm for cad applications,” in 2009 46thACM/IEEE Design Automation Conference (DAC), pp. 832-837, 2009.
  101. Zhang Lide, Lan S. Bai, Robert P. Dick, Li Shang, and Russ Joseph, “Process variation characterization of chip-level multiprocessors,” in Proceedings of the 46thAnnual Design Automation Conference (DAC), pp. 694-697, 2009.
  102. Zheng Li, Jie Wu, Li Shang, Alan R. Mickelson, Manish Vachharajani, Dejan Filipovic, Wounjhang Park, and Yihe Sun, “A high-performance low-power nanophotonic on-chip network,” in Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design (ISLPED), pp. 291-294, 2009.
  103. Changyun Zhu, Li Kun, Qin Lv, Li Shang, and Robert P. Dick, “iScope: personalized multi-modality image search for mobile devices,” in Proceedings of the 7thinternational conference on Mobile systems, applications, and services (MobiSys), pp. 277-290, 2009.
  104. Zheng Li, Jie Wu, Li Shang, Robert P. Dick, and Yihe Sun, “Latency criticality aware on-chip communication,” in 2009 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1052-1057, 2009.
  105. Zheng Li, Changyun Zhu, Li Shang, Robert P. Dick, and Yihe Sun, “Transaction-aware network-on-chip resource reservation,” IEEEComputer Architecture Letters (TCCA), Vol. 7, No. 2, pp. 53-56, 2008.
  106. Changyun Zhu, Zhenyu Gu, Li Shang, Robert P. Dick, and Russ Joseph, “Three-dimensional chip-multiprocessor run-time thermal management,” IEEE Transactions on Computer-Aided Design (TCAD), Vol. 27, No. 8, pp. 1479-1492, 2008.
  107. Nicholas Allec, Robert G. Knobel, and Li Shang, “SEMSIM: Adaptive multiscale simulation for singe electron devices,” IEEE Transactions on Nanotechnologies (Nanotechnologies), Vol. 7, No. 3, pp. 351-354, 2008.
  108. Changyun Zhu, Zhengyu Gu, Robert P. Dick, Li Shang, and Robert G. Knobel, “Characterization of single-electron tunneling transistors for designing low-power embedded systems,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol. 17, No. 5, pp. 646-659, 2009.
  109. Zhengyu Gu, Changyun Zhu, Li Shang, and Robert P. Dick, “Application-specific MPSoC reliability optimization,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol. 16, No. 5, pp. 603-608, 2008.
  110. Amit Kumar, Li Shang, Li-Shiuan Peh, and Niraj K. Jha, “System-level dynamic thermal management for high performance microprocessors, “ IEEE Transactions on Computer-Aided Design (TCAD), Vol. 27, No. 1, pp. 96-108, 2008.
  111. Li Shang, Robert P. Dick, and Niraj K. Jha, “High-level synthesis algorithms for power and temperature minimization,” High-level Synthesis, Springer Netherlands, Dordrecht, pp.285-297, 2008.
  112. Allec Nicholas, Zyad Hassan, Li Shang, Robert P. Dick, and Ronggui Yang, “ThermalScope: Multi-scale thermal analysis for nanometer-scale integrated circuits,” in 2008 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) , pp. 603-610, 2008. (Nominated for Best Paper Award)
  113. Bild David R., Sanchit Misra, Thidapat Chantemy, Prabhat Kumar, Robert P. Dick, X. Sharon Huy, Li Shang, and Alok Choudhary, “Temperature-aware test scheduling for multiprocessor systems-on-chip,” in 2008 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 59-66, 2008.
  114. Meng Ke, Russ Joseph, Robert P. Dick, and Li Shang, “Multi-optimization power management for chip multiprocessors,” in Proceedings of the 17thinternational conference on Parallel architectures and compilation techniques (PACT), pp. 177-186, 2008.
  115. Eisley, Noel, Li-Shiuan Peh, and Li Shang, “Leveraging on-chip networks for data cache migration in chip multiprocessors,” in 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 197-207, 2008.
  116. Chen Xi, Lei Yang, Haris Lekatsas, Robert P. Dick, and Li Shang, “Design and implementation of a high-performance microprocessor cache compression algorithm,” in Data Compression Conference (DCC), pp. 43-52, 2008.
  117. Allec, Nicholas, Robert Knobel, and Li Shang, “Adaptive simulation for single-electron devices,” in 2008 Design, Automation and Test in Europe (DATE), pp. 1021-1026, 2008.
  118. David Brooks, Robert P. Dick, Russ Joseph, and Li Shang, “Power, thermal, and reliability modeling in nanometer-scale microprocessors,” IEEE Micro (MICRO), Vol. 27, No. 3, pp. 49-62, 2007.
  119. Li Shang, and Niraj. K. Jha, “SLOPES: Hardware-software co-synthesis of low-power real-time distributed embedded systems with dynamically reconfigurable FPGAs,” IEEE Transactions on Computer-Aided Design (TCAD), pp.345-352, 2007.
  120. Yonghong Yang, Zhenyu Gu, Changyun Zhu, Robert P. Dick, and Li Shang, “ISAC: Integrated space and time adaptive chip-package thermal analysis,” IEEE Transactions on Computer-Aided Design (TCAD), pp.86-99, 2007.
  121. Zhou Pingqiang, Yuchun Ma, Zhouyuan Li, Robert P. Dick, Li Shang, Hai Zhou, Xianlong Hong, and Zhou Qiang, “3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits,” in 2007 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 590-597, 2007.
  122. Sun, Chong, Li Shang, and Robert P. Dick, “Three-dimensional multiprocessor system-on-chip thermal optimization,” in Proceedings of the 5thIEEE/ACM international conference on Hardware/software codesign and system synthesis (CODES-ISSS), pp. 117-122, 2007.
  123. Zhu Changyun, Zhenyu Gu, Robert P. Dick, and Li Shang, “Reliable multiprocessor system-on-chip synthesis,” in Proceedings of the 5thIEEE/ACM international conference on Hardware/software codesign and system synthesis (CODES-ISSS), pp. 239-244. 2007.
  124. Zhu Changyun, Zhenyu Gu, Li Shang, Robert P. Dick, and Robert G. Knobel, “Towards an ultra-low-power architecture using single-electron tunneling transistors,” in Proceedings of the 44thannual Design Automation Conference (DAC), pp. 312-317, 2007. (Nominated for Best Paper Award)
  125. Zhang Wei, Li Shang, and Niraj K. Jha, “NanoMap: An integrated design optimization flow for a hybrid nanotube/CMOS dynamically reconfigurable architecture,” in Proceedings of the 44thannual Design Automation Conference (DAC), pp. 300-305, 2007.
  126. Liu Yongpan, Huazhong Yang, Robert P. Dick, Hui Wang, and Li Shang, “Thermal vs energy optimization for dvfs-enabled processors in embedded systems,” in 8thInternational Symposium on Quality Electronic Design (ISQED), pp. 204-209, 2007.
  127. Liu Yongpan, Robert P. Dick, Li Shang, and Huazhong Yang, “Accurate temperature-dependent integrated circuit leakage power estimation is easy,” in 2007 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1-6, 2007.
  128. Li Shang, and Robert P. Dick, “Thermal crisis: Challenges and potential solutions,” IEEE Potentials (Potentials), Vol. 25, No. 5, pp. 31-35, 2006.
  129. Noel Eisley, Li-Shiuan Peh, and Li Shang, “In-network cache coherence,” IEEE Computer Architecture Letters (TCCA), pp. 34-37, 2006.
  130. Li Shang, Li-Shiuan Peh, Amit Kumar, and Niraj K. Jha, “Temperature-aware on-chip networks,” IEEE Micro: Micro's Top Picks from Computer Architecture Conferences (MICRO TOP PICKS), Vol. 26, No. 1, pp. 130-139, 2006.
  131. Li Shang, Li-Shiuan Peh, and Niraj K. Jha, “PowerHerd: A distributed scheme for dynamic satisfying peak power constraints in interconnection networks,” IEEE Transactions on Computer-Aided Design (TCAD), Vol. 25, No. 1, pp. 92-110, 2006.
  132. Robert P. Dick, Li Shang, and Niraj K. Jha, “Power-aware architectural synthesis,” pp. 1-21, 2006.
  133. Eisley, Noel, Li-Shiuan Peh, and Li Shang, “In-network cache coherence,” in 2006 39thAnnual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 321-332, 2006.
  134. Yang Yonghong, Changyun Zhu, Zhenyu Gu, Li Shang, and Robert P. Dick, “Adaptive multi-domain thermal modeling and analysis for integrated circuit synthesis and design,” in 2006 IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 575-582, 2006.
  135. Zhang Wei, Niraj K. Jha, and Li Shang, “NATURE: A hybrid nanotube/CMOS dynamically reconfigurable architecture,” in Proceedings of the 43rdannual Design Automation Conference (DAC), pp. 711-716, 2006.
  136. Kumar, Li Shang, L.-S. Peh, and N. K. Jha, “HybDTM: A coordinated hardware-software approach for dynamic thermal management,” in ProceedingsIEEE Design Automation Conference (DAC), pp. 548-553, 2006.
  137. Yang Yonghong, Zhenyu Gu, Changyun Zhu, Li Shang, and Robert P. Dick, “Adaptive chip-package thermal analysis for synthesis and design,” in Proceedings of the Design Automation & Test in Europe Conference (DATE), pp. 1-6, 2006.
  138. Yang Yonghong, Jia Wang, R. P. Dick, and Li Shang, “TAPHS: Thermal-aware unified physical-level and high-level synthesis,” in Asia and South Pacific Conference on Design Automation, (ASP-DAC), pp. 875-889, 2006. (Nominated for Best Paper Award)
  139. Li Shang, Robert P. Dick, and Niraj K. Jha, “DESP: A distributed economics-based subcontracting protocol for computation distribution in power-aware mobile ad-hoc networks,” IEEE Transactions on Mobile Computing (TMC), Vol. 3, No. 1, pp. 33-45, 2004.
  140. Li Shang, Li-Shiuan Peh, Amit Kumar, and Niraj K. Jha, “Thermal modeling, characterization and management of on-chip networks,” in 37thInternational Symposium on Microarchitecture (MICRO), pp. 67-78, 2004.
  141. Li Shang, Li-Shiuan Peh, and Niraj K. Jha, “PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks,” in Proceedings of the 17th annual international conference on Supercomputing (ICS), pp. 98-108, 2003.
  142. Wang Weidong, Tat Kee Tan, Jiong Luo, Yunsi Fei, Li Shang, Keith S. Vallerio, Lin Zhong, Anand Raghunathan, and Niraj K. Jha, “A comprehensive high-level synthesis system for control-flow intensive behaviors,” in Proceedings of the 13thACM Great Lakes symposium on VLSI (GLVLSI), pp. 11-14, 2003.
  143. Li Shang, Li-Shiuan Peh, and Niraj K. Jha, “Dynamic voltage scaling with links in power-efficient interconnection networks,” in Proceedings of the 9th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2003.
  144. Li Shang, Li-Shiuan Peh, and Niraj K. Jha, “Power-efficient interconnection networks: Dynamic voltage scaling with links,” IEEE Computer Architecture Letters (TCCA), Vol. 1, No. 1, pp. 6-6, 2002.
  145. Li Shang, Robert P. Dick, and Niraj K. Jha, “An economics-based power-aware protocol for computation distribution in mobile ad-hoc networks,” in IASTED PDCS (PDCS), pp. 339-344, 2002. (Best Paper Award)
  146. Li Shang, Alireza S. Kaviani, and Kusuma Bathala, “Dynamic power consumption in Virtex™-II FPGA family,” in Proceedings of the 2002 ACM/SIGDA10th international symposium on Field-programmable gate arrays (FPGA), pp. 157-164. 2002.
  147. Li Shang, and Niraj K. Jha, “Hardware-software co-synthesis of low power real-time distributed embedded systems with dynamically reconfigurable FPGAs,” in Proceedings of ASP-DAC/VLSI Design 2002. 7thAsia and South Pacific Design Automation Conference and 15th International Conference on VLSI Design (VLSI), pp. 345-352, 2002.
  148. Li Shang, and Niraj K. Jha, “High-level power modeling of CPLDs and FPGAs,” in Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD) , pp. 46-51, 2001.
  149. Li Shang, Y.-Q. Ge, and R.-D. Zhou, “Embedded microprocessor core design and analysis,” Vol. 30, No. 1, 2000.